becoll

工程师

今日你签到了吗?论坛动态

来自 论坛2009-11-18 10:17

RE: 如何初始化用IP生成的双口RAM?

是这样,谢谢!……
来自 论坛2009-11-18 09:59

RE: 如何初始化用IP生成的双口RAM?

以下是我用MATLAB生成初始化数据的代码: x=linspace(0,511,512); fid=fopen('c:/init_men.txt','wt'); fprint……
来自 论坛2009-11-18 09:46

RE: 深入了解LabVIEW FPGA(分享)

做FPGA的开发接近一年了,而我研一时也做过一个虚拟仪器的项目,对LabVIEW编程方面还算可以。现在找工作遇上一个两难的境地——我必须在FPGA和LabV……
来自 论坛2009-11-18 09:22

RE: 双向口信号如何仿真?

叮叮当当那篇帖子感觉也没讲太清楚,您的那篇讲双向口的部分很少,也没看懂。我现在的理解是,是否应该把方向控制信号oe定义为输出,testbench中,DD的激励由oe的输出响应来产生……
来自 论坛2009-11-16 16:46

双向口信号如何仿真?

我的一个接口程序用到双向口,设为DD,在底层模块使用时用的是三个信号ddi、ddo和oe,在顶层时将这三个信号组合形成三态口: DD<=ddo when (oe='1') ……
来自 论坛2009-11-15 09:17

RE: modelsim可以保存仿真多长时间的波形

谢谢!已经开始使用testbench。以前仿真的波形时间短信号少,所以感觉写testbench还不如直接编辑波形来的方便(我以前用quantusII,感觉它本身的仿真工具就很强大,……
来自 论坛2009-11-14 16:46

RE: modelsim可以保存仿真多长时间的波形

do文件内容太多,不知道怎么传附件,就当个共享资料传上了,不知道能不能看出什么wr4.rar……
来自 论坛2009-11-14 11:09

RE: 如何初始化用IP生成的双口RAM?

是的,设置页面见下图 我新建了个.mem的文件,不知道是不是,也不知道该怎么写。 这个是直接在ISE下设置的,如果单独放到modelsim的工程中又该如何初始化呢?……
来自 论坛2009-11-14 09:33

如何初始化用IP生成的双口RAM?

我用ISE的core generator 生成了一个双口RAM,想对它进行初始化,怎么操作呢?        ……
来自 论坛2009-11-13 11:15

RE: 在modelsim中用testbench仿真,怎样才能更新generic的值?

谢谢!问题已解决。 原因是:我将所有的元件例化打包在了一个package里,我在修改generic时只改了源文件中的,而忘了改自定义的package里的。看来在仿真时packag……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司