FPGA江湖

助工

今日你签到了吗?论坛动态

来自 论坛2024-07-24 20:14

基于FPGA的飞机大战游戏系统设计

第一部分 设计概述1.1 设计目的     我们设计了一款基于 FPGA 的SEA开发板 的飞机大战游戏。飞机大战游戏是一款休闲益智类游戏,……
来自 论坛2024-07-23 17:54

RE: Win11安装ise14.7~不需要虚拟机了~

            ……
来自 论坛2024-07-22 16:51

RE: 算法系列:基于FPGA的图像边缘检测系统设计(sobel算法)

                      ……
来自 论坛2024-07-17 19:43

基于ZYNQAlphaGo的棋类人机博弈AR系统

1.设计概述1.1设计目的   交互式投影是一种投影画面显示技术,应用现代遥感科学和多媒体处理应用技术。 交互式投影的目的是通过触摸互动平面的不同位置,投影仪在……
来自 论坛2024-07-15 18:29

基于FPGA的任意波形发生器+低通滤波器系统设计

第一部分 设计概述 /Design Introduction1.1 设计目的本次设计包括基于FPGA的任意波形发生器设计实现和基于FPGA的低通滤波器设计实现。波形发生器是一种常见……
来自 论坛2024-07-14 08:52

基于FPGA的“俄罗斯方块”设计(附代码)

 今天给各位大侠带来基于FPGA的“俄罗斯方块”设计,设计思路以及代码参考文档。本篇主要在FPGA上实现了一个经典小游戏“俄罗斯方块”。本项目基本解决方案是,使用Xili……
来自 论坛2024-07-11 17:05

基本电子元件的内在之美

埃里克•施莱浦菲尔(Eric Schlaepfer)在尝试修理一台损坏的测试设备时,偶然发现损坏的原因是一个有问题的钽电容。元件不知为何短路了,他想知道原因。因此他把它擦亮,想看看……
来自 论坛2024-07-08 19:23

科普|一文了解FPGA

   FPGA 是可以先购买再设计的“万能”芯片。FPGA (Field Programmable Gate Array)现场可编程门阵列,是在硅片上预先设计实……
来自 论坛2024-07-04 20:20

基于matlabFPGAverilog的FIR滤波器设计

今天和大侠简单聊一聊基于matlab FPGA verilog的FIR滤波器设计,话不多说,上货。本次设计实现8阶滤波器,9个系数,由于系数的对称性,h(0)=h(8),h1(1)……
来自 论坛2024-07-03 18:26

如何在ModelSim中添加Xilinx仿真库

今天给大侠带来在FPGA设计应用中如何在ModelSim中添加Xilinx仿真库,话不多说,上货。注意:ModelSim一定要安装在不带空格的目录下,即不要安装在“Program ……
2
3
4
5
6
»
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司