lin1408

菜鸟

今日你签到了吗?论坛动态

来自 论坛2014-11-19 14:22

RE: 看了老师的FPGA网上免费培训的IP核之单口RAM,自己写的testbench不出波形,小弟求助啊!

就像下图啊,为啥连时钟信号都没有呢 ……
来自 论坛2014-11-17 19:21

RE: FPGA开发全攻略“收集一百本书不如读一本书”

回复可见  我只能回复……
来自 论坛2014-11-17 19:18

RE: Altera FPGA_CPLD设计 基础篇及高级篇

回复可见  我只能回复……
来自 论坛2014-11-17 19:18

RE: FPGA开发板DIY活动准备工作(五)——FPGA最小系统

回复可见  我只能回复……
来自 论坛2014-11-17 19:16

看了老师的FPGA网上免费培训的IP核之单口RAM,自己写的testbench不出波形,小弟求助啊!

源代码: `timescale 1 ns/ 1 ps module M4K_ram (clk,rst,data_out); input clk,rst……
来自 论坛2014-11-11 17:07

RE: 新注册会员免费赠国家一级科技期刊《电子产品世界》

我是11月新注册会员……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司