baijunyan

助工

今日你签到了吗?论坛动态

来自 论坛2014-08-12 11:06

RE: 华为公司编程语法规范

感谢LZ幸苦收集资料~~……
来自 论坛2014-07-25 23:58

RE: EEPW版光立方原理图

赞一个,预习预习~~……
来自 论坛2014-07-21 10:20

为酷炫的光立方点赞

光立方的效果真心很酷炫~~为活动点赞,申请参与~~……
来自 论坛2014-05-20 16:39

RE: 很火的一篇文章——看得懂的PCB布线

mark 一下~……
来自 论坛2013-09-21 10:01

RE: 福利帖:申请EEPW 20周年限量版便利贴

跟帖参加,祝论坛越来越火!……
来自 论坛2013-06-04 09:58

RE: 仿真是这样子的,是怎么回事

初始赋值了,都赋了0; 原来的程序:result_cnt0<=((~templet20[col_num])&(data0[col_num])+(te……
来自 论坛2013-05-26 20:58

仿真是这样子的,是怎么回事

做一个数字模板匹配,10*10的模板,程序时这样的: result_cnt0<=((~templet20[col_num])&(data0[col_num……
来自 论坛2013-05-17 15:49

RE: ov7670的初始化读不到设备ID

没有用过signaltap,是直接来观察PCLK波形么?……
来自 论坛2013-05-15 17:09

ov7670的初始化读不到设备ID

用FPGA 初始化ov7670,FPGA 用的是EP2C8Q208,IIC 仿真没有问题,7670是带FIFO的 ,没有原理图, 初始化后想读设备号,读到数据发串口,测试76……
来自 论坛2013-04-27 11:00

RE: 用LCD12864写菜单的原理和思路

这个很有启发,前面一直在把两个混在一起做,做着做着就不知道到哪里了,下次试试你的思路~ ……
2
3
4
5
»
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司