snowall

菜鸟

今日你签到了吗?论坛动态

来自 论坛2007-01-14 19:39

新手请教下VHDL问题

library ieee; use ieee.std_logic_1164.all; ENTITY CNT IS PORT ( clk_38m88: in……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司