逆水寒215

菜鸟

今日你签到了吗?论坛动态

来自 论坛2008-10-16 17:46

RE: 请高手帮忙给修改一个VHDL程序

还没能给修改一下吗......谢谢了...... 我的意思是想:按键1控制数值加1...,比如加到8后,再按键2则数值减1,且是从8开始减的...,再比如减到了2后,再按键1则数……
来自 论坛2008-10-09 16:38

RE: FPGA/CPLD设计小技巧

解决了我的一些疑惑,谢谢啊……
来自 论坛2008-10-09 16:25

RE: VHDL硬件描述语言

谢谢啊……
来自 论坛2008-10-09 15:49

RE: 请高手帮忙给修改一个VHDL程序

上面的就是那个程序,还请帮忙给修改一下。谢谢了!……
来自 论坛2008-10-09 15:48

RE: 请高手帮忙给修改一个VHDL程序

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY zdz ……
来自 论坛2008-10-09 15:45

请高手帮忙给修改一个VHDL程序

自己编写的一个小程序,功能是:时钟信号CLK1使数加1,CLK2使数减1,最后设置好的这个数要和一个给定的数进行大小比较。 可编译时报错,实在不知道怎么改: Error: Ca……
来自 论坛2008-10-03 17:40

RE: Altera 中文手册(内部版)

发现的晚了点。。。。 还能给传份吗??期待中。。。 sdwscsb@126.com……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司