861zz7283

菜鸟

今日你签到了吗?论坛动态

来自 论坛2016-03-23 22:49

有史以来最详细的FPGA教学视频,你值得拥有

视频的作者是在学习FPGA方面做的比较不错的一位大牛做的(PS:人称“小梅哥”),视屏内容讲的特别详细,而且有些代码个人觉得写得非常不错。所以好资源就拿出来和大家分享,希望大家可以……
来自 论坛2016-03-23 22:27

RE: 讲解特别详细的FPGA学习视频小梅哥的

难道大伙没人看?……
来自 论坛2016-03-23 00:38

讲解特别详细的FPGA学习视频小梅哥的

视频的作者是在学习FPGA方面做的比较不错的一位大牛做的(PS:人称“小梅哥”),视屏内容讲的特别详细,而且有些代码个人觉得写得非常不错。所以好……
来自 论坛2015-10-01 22:02

RE: FPGA开发板DIY活动准备工作(八)——FPGA精华资料下载

好的资料值得分享不知楼主的怎样……
来自 论坛2015-08-21 10:27

fpga通过iic通信单字节读写数据给eeprom时问题

有人吗,请问fpga通过iic通信单字节读写数据给eeprom时,仿真全通过,但是实际下板有问题,经过检测接受到的ack信号是高电平,请问这是怎么回事 ……
来自 论坛2015-05-24 17:37

RE: SIN210学习笔记__Zigbee&CC2530

楼主,你用CC2530玩过定位方面的东西没……
来自 论坛2014-12-09 16:37

RE: 招聘高校联络官(含通讯录)

我所在学校:安康学院 所学专业:电子信息工程 大学/研究生年级:大三 目前主攻方向:FPGA ……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司