xlrock

菜鸟

今日你签到了吗?论坛动态

来自 论坛2010-05-19 11:23

RE: 求助,MODELSIM仿真输出为红线U

建立 LIBERARY    NAMED   altera和 lpm ALTER库的位置:  D:\altera\81……
来自 论坛2010-05-19 11:19

RE: 求助,MODELSIM仿真输出为红线U

问题已解决!!!感谢老版的大力帮助,我的毕业设计终于完成了 是因为未将所有的ALTER、DSP BUILDER的库编译入LIBERARY 之后将DSP-BUILDER自动生成的……
来自 论坛2010-05-18 18:59

RE: 求助,MODELSIM仿真输出为红线U

编译图中几个文件时发现我没加ALTERA库和DSP_BUILER的库 添加上后尝试,还是不行,线条编程蓝色,显示ZZZZZZZZZ(HIZ) 继续尝试。。。……
来自 论坛2010-05-18 16:17

RE: 求助,MODELSIM仿真输出为红线U

后来我把timescale设置为1ms,精度10ns了 编译DSP BUILDER自动生成的HDL文件是不是要编译所有的文件,还是就那个模型文件? 上图 ……
来自 论坛2010-05-18 16:10

RE: 求助,MODELSIM仿真输出为红线U

没有解决,依然如故。。。唉 头疼 老版,您用过DSP-BUILDER么?是不是SIGNAL COMPILER生成的HDL语言文件真的可用啊?我在怀疑 难不成要我直接对我设计的……
来自 论坛2010-05-18 12:36

RE: 求助,MODELSIM仿真输出为红线U

多谢,多谢,我试试……
来自 论坛2010-05-18 11:38

RE: 求助,MODELSIM仿真输出为红线U

请教ACLR应该怎么设置,怎么驱动输出?……
来自 论坛2010-05-18 10:34

求助,MODELSIM仿真输出为红线U

用DSP-BUILDER在SIMULINK中生成模块(只加输入和输出的24位ALTBUS,中间无任何器件模块,仅为了测试MODELSIM仿真),然后用SIGNAL COMPILER……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司