zy250192333

菜鸟

今日你签到了吗?论坛动态

来自 论坛2009-08-08 18:15

RE: 请网友们 帮帮忙啊!~

    晕啥子!~……
来自 论坛2009-08-07 17:51

请网友们 帮帮忙啊!~

    library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_1164.al……
来自 论坛2009-07-31 09:20

RE: ISE和QUARTUS II比较

谢谢啊!~  我终于会了   非常感谢!~……
来自 论坛2009-07-29 12:11

RE: ISE和QUARTUS II比较

自带的仿真工具是什么??  能不能说得具体一点,比如说操作步骤?  谢谢哈!~……
来自 论坛2009-07-29 12:09

请问用quartus 怎么进行功能仿真???

  我想测试的时候没有时延,书上说功能那个仿真没有时延,但是没提供怎么功能仿真!~   请问大侠们怎么功能仿真啊???  提供一下具体操作步骤撒!~……
来自 论坛2009-07-28 12:41

RE: Altera 中文手册(内部版)

麻烦给我发一份撒  十分谢谢!~       250192333@qq.com……
来自 论坛2009-07-28 12:26

quartus 如何功能仿真

请问各位大侠: quartus如何功能仿真啊???  举个例子具体步骤说一下撒……
来自 论坛2009-07-28 12:18

RE: ISE和QUARTUS II比较

请问QuartusII 如何进行功能仿真???   Modelsim用VHDL语言吗?……
来自 论坛2009-07-28 12:15

RE: Quartus II 与 ModelSim 功能仿真与后仿真扫盲

打不开啊!~……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司