try0422

菜鸟

今日你签到了吗?论坛动态

来自 论坛2012-11-03 20:08

RE: QQ群关于“DIYFPGA实验sys_clk,sys_rstn引脚定义”的总结

可是一直都弄不明白:Y2晶振与蜂鸣器有关,这个能从原理图中看出来么?请教下啦......……
来自 论坛2012-11-02 15:49

RE: QQ群关于“拨码开关试验P108脚无法配置”问题的总结

原来是这个样子的啊,看来我自己还是没有足够的细心,发现问题了,只是没有仔细的去思考是怎么一回事,后来我就直接用key按键来代替拨码开关来实现控制的。。。。。 惭愧惭愧。。。。……
来自 论坛2012-11-02 15:30

RE: try0422进程贴

上面的视频是拨码开关控制数码管静态显示的,代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logi……
来自 论坛2012-11-02 15:23

RE: try0422进程贴

……
来自 论坛2012-11-01 19:30

RE: modulesim仿真教程,很详细

来学习下子啦,谢谢lz的用心良苦……
来自 论坛2012-11-01 10:07

RE: try0422进程贴

这张图片则是显示的效果图片: ……
来自 论坛2012-11-01 10:01

RE: try0422进程贴

这张图片是数码管显示的原理图: ……
来自 论坛2012-11-01 09:58

RE: try0422进程贴

数码管动态显示:进行了10000次分频,显示效果不会闪烁,其中位选模块和显示模块的代码如下: library ieee; use ieee.std_logic_1164.all……
来自 论坛2012-10-29 21:59

RE: QQ群关于“DIYFPGA实验sys_clk,sys_rstn引脚定义”的总结

刚开始,也在这个问题上纠结了些的。。。。……
来自 论坛2012-10-29 21:36

RE: QQ群关于“关于芯片引脚的定义导致的数码管自动点亮”的总结

原来是这个样子的啊,很受教的,感谢楼主专门发这个帖子的……
«
1
2
4
5
»
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司