大海ai

助工

今日你签到了吗?论坛动态

来自 论坛2014-03-18 20:59

RE: mcu模拟jtag时序配置fpga问题

请问你做的怎样?我毕设要做这个。……
来自 论坛2013-06-01 22:54

RE: 有没有大神帮忙读一下这段程序。。。

哦,谢谢。。。……
来自 论坛2013-06-01 14:00

RE: 有没有大神帮忙读一下这段程序。。。

那就是说TC是自动清零的?……
来自 论坛2013-06-01 12:31

有没有大神帮忙读一下这段程序。。。

void USART_IRQHandler(void) {     char Rx_dat;    ……
来自 论坛2013-06-01 11:23

关于STM32串口通讯的问题

 stm32的串口通讯一次只能接收一个字节,接收一个字节后出现标志位,那要想继续接收数据,是不是每次接到一个字节的数据后都要清零标志位? 大神求解呀 ……
来自 论坛2013-05-18 08:44

CPLD DIY申请贴

有学习FPGA的想法,我想通过学习CPLD,拿CPLD入门FPGA。学习借助集成开发软件平台,用原理图,硬件描述语言等方法。……
来自 论坛2013-05-17 22:49

RE: DSP_入门教程

看看。。。……
来自 论坛2013-05-07 22:48

RE: FPGA开发全攻略“收集一百本书不如读一本书”

看看。。。 ……
«
1
3
4
5
6
»
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司