lovewtg

菜鸟

今日你签到了吗?论坛动态

来自 论坛2010-05-18 19:37

求助:用FPGA产生脉冲波形的方法

我要设计一个脉冲电源,其核心部分是用FPGA产生脉冲波形,波形的宽度可调,要用VHDL语言描述,当然图形也可以 ,请指教一哈   有源程序就更好了 &……
«
1
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司