0750long

专家

今日你签到了吗?论坛动态

来自 论坛2009-03-18 12:53

cpld锁存器的程序

cpld锁存器的程序   library ieee; use ieee.std_logic_1164.all; entity latch373 is por……
来自 论坛2009-03-18 12:51

用CPLD实现单片机读写模

  CPLD(复杂可编程逻辑电路)是一种具有丰富的可编程I/O引脚的可编程逻辑器件,具有在系统可编程、使用方便灵活的特点;不但可实现常规的逻辑器件功能,还可实现复杂的时序……
来自 论坛2009-03-18 12:47

vhdl语言 4位加法器程序

vhdl语言 4位加法器程序   ------------------------------------------- -- 4-bit binary adder……
来自 论坛2009-03-18 12:00

基于FPGA和SRAM的数控振荡器的设计与实现

基于FPGA和SRAM的数控振荡器的设计与实现 1 引言   数控振荡器是数字通信中调制解调单元必不可少的部分,同时也是各种数字频率合成器和数字信号发生器的核心。随着数字通信……
来自 论坛2009-03-18 10:17

ISE时序约束笔记8——Achieving Timing Closure

ISE时序约束笔记8——Achieving Timing Closure        &n……
来自 论坛2009-03-18 09:41

FPGA开发关键问题

FPGA开发关键问题 1 FPGA设计的关键问题 ……
来自 论坛2009-03-18 08:43

RE: 应JPP 要求发的电容电阻封装

非常有用的资料----钽电容封装大全。 formatted in JPG. ……
来自 论坛2009-03-18 08:40

应JPP 要求发的电容电阻封装

电容电阻封装   电容电阻外形尺寸与封装的对应关系是: 0402=1.0x0.5 0603=1.6x0.8 0805=2.0x1.2 1206=3.2……
来自 论坛2009-03-18 08:36

RE: 电容基础

有啊,心点上……
来自 论坛2009-03-18 08:33

labview安装的技巧

labview安装的技巧   ……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司