返回首页 | 论坛 | 问答 | 博客
 

DAC0832 接口电路程序

DAC0832 接口电路程序 8.6 DAC0832 接口电路程序 见随书所附光盘中文件:DAC0832VHDL程序与仿真。 --文件名:DAC0832.VHD --功能:产生频率为762.9Hz的锯齿波。 --最后修改日期:20……
  如您已是会员?请登录浏览全文
用户名:
密码: 忘记密码?
 
下次是否自动登陆:是    
  第一次访问EEPW?
不要犹豫,注册成EEPWer,视频、文档、白皮书随你看

关于我们 | 广告服务 | 企业会员服务 | 网站地图 | 联系我们 | 友情链接 | 手机EEPW
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
Copyright ©2000-2020 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
京ICP备12027778号-2