zhaoxiaorui

菜鸟

今日你签到了吗?论坛动态

来自 论坛2013-01-21 10:00

if语句优化的问题

请问 如何优化“if(A==128'd323)”这样的结构? 我在编程的时候有一部分算法需要类似这样的上述结构,新手入门不知道该如何简化这样的结构,因为从RTL viewer……
来自 论坛2012-05-25 20:48

脉冲信号

我输入一个脉冲信号,持续一个时钟周期,想在内部进行一下延迟,过一段时间再输出一个脉冲,持续时间也是一个时钟周期,该如何做?……
来自 论坛2012-05-08 09:29

RE: 跪求quartus 11.1种子和破解

谢谢了!以后会学着自己找资料的!多谢指教!……
来自 论坛2012-04-21 16:24

RE: 跪求quartus 11.1种子和破解

可是我使用的芯片网络版的不支持。。。。……
来自 论坛2012-04-20 10:16

跪求quartus 11.1种子和破解

最近做的项目要用到quartus 11.1里面的Qsys和其他一些东西,但是手头没有quartus 11.1,在这里跪求下载地址和破解文档!不做商用!只是个人学习研究使用!谢谢各位……
来自 论坛2012-04-20 09:12

RE: altera中rom的使用

那就相当于自己增加了一根虚拟的地址总线呗?……
来自 论坛2012-04-18 16:06

altera中rom的使用

正在做一个项目,想要使用rom存储768*384=294912个数据,但是使用IP核时地址最大为65536,该如何处理呢?是使用多个rom还是怎样?必须使用IP核。。……
来自 论坛2012-03-14 09:16

RE: Quartus中调用IP核,求高手帮助啊!!!

来自己回答自己吧,过了好久才来看这个帖子…… 用助手建立IP核,会产生.v文件,在顶层模块中例化该模块,例如模块名称叫做ram吧,在顶层中实例化即可进行应用 ram RAM……
来自 论坛2011-12-23 10:37

RE: Quartus中调用IP核,求高手帮助啊!!!

咕~~(╯﹏╰)b没回复到你。。……
来自 论坛2011-12-23 10:37

RE: Quartus中调用IP核,求高手帮助啊!!!

强制要求使用IP核啊。。。……
2
»
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司