站的高尿的远

助工

今日你签到了吗?论坛动态

来自 论坛2011-09-14 11:42

奉献贴——hello内核模块编译的全过程

内核版本:2.6.10 在/home/tmp/下建立两个文件hello.c,Makefile hello.c ------------ #include <linux……
来自 论坛2011-09-14 11:36

RE: 但望不要“昙花一现”有始无终哦!

看样子意见还很大呢。……
来自 论坛2011-09-13 10:42

谁帮我看看这个函数的错误原因?

谁帮我看看这个函数的错误原因? P=rand(3); T=rand(3); net=newff([-1 1;-1 1;-1 1],[3,1]……
来自 论坛2011-09-13 09:22

modelsim工程步骤不知对否

我是新建一个modelsim工程,在fpga的工程目录下的 然后加载已存在的文件,包括测试文件和激励文件,都.v格式的,fpga工程是没有编译过的 然后我就编译。 再然 后……
来自 论坛2011-09-13 09:19

请问有没有人用QuartueII 11.0?有没有破解成功的?

RT ,一直也没有解决……
来自 论坛2011-09-09 11:26

RE: 安装仿真软件Modelsim SE后出现

可能是,再看看,谢了……
来自 论坛2011-09-09 11:17

安装仿真软件Modelsim SE后出现

各位师兄,我在安装仿真软件Modelsim SE后出现这样的问题,请师兄们指教,仿真始终都不行,该如何才能改正呢?环境变量也设定了,license也加载了,但始终会出现这个问题 ……
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司