阿难

助工

今日你签到了吗?论坛动态

来自 论坛2011-08-27 14:30

RE: 2011电子设计大赛预测

看看……
来自 论坛2010-12-25 12:00

RE: 开发板有奖竞猜

890……
来自 论坛2010-09-25 14:09

RE: [推荐]VHDL语言100例

谢谢分享!对我的学习应该有用!……
来自 论坛2010-07-14 01:17

RE: 隨心所欲电路图

谢谢分享!!!……
来自 论坛2010-07-14 01:16

RE: 隨心所欲电路图

谢谢分享!!……
来自 论坛2010-07-08 23:18

RE: 大学是培养大学生还是工程师?

理论重要,实践也重要!!但是学校开的课程太多,占用了实践的时间,还有很多课程的课时都压缩了,上了也没有学到什么。……
来自 论坛2010-07-08 23:04

RE: FPGA视频教程大全(5块钱买的)

好!顶!!!……
来自 论坛2010-07-04 22:35

RE: 大家都不用protues做单片机开发仿真么?

这是一个对单片机学习蛮好的软件的!! 不过我对这个软件很无语!! 它经常有问题,不过这不懂是不是我电脑的问题。……
来自 论坛2010-07-04 00:02

RE: Altera 中文手册(内部版)

liangwubin316@163.com 谢谢f分享!!!……
«
1
Copyright ©2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司