返回首页 | 论坛 | 问答 | 博客
 

在测控系统中用IP核实现DA转换

EDAPLD论文 在测控系统中用IP核实现D/A转换 摘要:采用数字化技术、在测控系统中用IP核实现D/A转换,并且在1片可编程逻辑器件 中实现。它不受温度的影响,既可保持高分辨率,又可降低对电路精度和稳定度的要求 ,并减少元件的……
  如您已是会员?请登录浏览全文
用户名:
密码: 忘记密码?
 
下次是否自动登陆:是    
  第一次访问EEPW?
不要犹豫,注册成EEPWer,视频、文档、白皮书随你看

关于我们 | 广告服务 | 企业会员服务 | 网站地图 | 联系我们 | 友情链接 | 手机EEPW
《电子产品世界》杂志社 版权所有 北京东晓国际技术信息咨询有限公司
Copyright ©2000-2020 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
京ICP备12027778号-2